Results 1 to 10 of 15

Thread: Glitch on my game

Threaded View

Previous Post Previous Post   Next Post Next Post
  1. #14
    Thanks Silver and everyone for your time! luckily i fixed all the bugs by myself .
    I have a question about programming in general:
    So i have this readln(key) .
    and it := 'F' for example.
    and if i say
    if (key = 'F') and (variable > 0) then begin
    -syntax-
    end;
    it wont work like this... it will just jump to the other task even if i tell it
    else if (variable > 0) then begin
    writeln('You don have the enough variable');
    how is this a fix?
    If you don't understand me ill just post the code and you guys will see about what im talking ;\
    Last edited by smexhy; 08-04-2012 at 09:34 AM.

Bookmarks

Posting Permissions

  • You may not post new threads
  • You may not post replies
  • You may not post attachments
  • You may not edit your posts
  •